bug-glpk
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: Is this the expected behavior with "default" ?


From: Domingo Alvarez Duarte
Subject: Re: Is this the expected behavior with "default" ?
Date: Thu, 6 Aug 2020 14:50:29 +0200
User-agent: Mozilla/5.0 (X11; Linux x86_64; rv:68.0) Gecko/20100101 Thunderbird/68.10.0

Hello !

The printf command does show the default value when defined so looking through the code I think that this can be a fix for this issue:

https://github.com/mingodad/GLPK/commit/e54d6bbe41ba44c8fbecc3f9bc1c780acd78b118

Cheers !

On 6/8/20 13:59, Domingo Alvarez Duarte wrote:
Hello !

With the GMPL model below when we ask to display an entity with a declared "default" value we get nothing but if we indirectly reference then  we get the "default" value.

====

param Jm, default 3;
set J := 1..Jm;

display Jm;
display J;
display Jm;
====

Output:

====

...

Display statement at line 3
Jm has empty content
Display statement at line 4
J:
   1
   2
   3
Display statement at line 5
Jm = 3
...

====

Cheers !




reply via email to

[Prev in Thread] Current Thread [Next in Thread]