emacs-commit
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Emacs-commit] emacs/lisp/progmodes verilog-mode.el [EMACS_22_BASE]


From: Juanma Barranquero
Subject: [Emacs-commit] emacs/lisp/progmodes verilog-mode.el [EMACS_22_BASE]
Date: Mon, 18 Feb 2008 14:38:14 +0000

CVSROOT:        /cvsroot/emacs
Module name:    emacs
Branch:         EMACS_22_BASE
Changes by:     Juanma Barranquero <lektu>      08/02/18 14:38:14

Modified files:
        lisp/progmodes : verilog-mode.el 

Log message:
        (customize): Fix typo in error message.
        (verilog-mode, verilog-mode-indent, verilog-mode-actions, 
verilog-mode-auto,
        verilog-indent-level-module, verilog-minimum-comment-distance,
        verilog-library-flags, verilog-library-directories, 
verilog-library-files,
        verilog-auto-reset-widths, verilog-imenu-generic-expression,
        verilog-xemacs-menu, verilog-set-compile-command, 
verilog-set-compile-command,
        verilog-mode-syntax-table, verilog-mode, verilog-get-expr,
        verilog-strip-comments, verilog-one-line, verilog-lint-off, 
verilog-batch-auto,
        verilog-batch-delete-auto, verilog-batch-inject-auto, 
verilog-batch-indent,
        verilog-continued-line, verilog-type-keywords, 
verilog-read-sub-decls-sig,
        verilog-read-sub-decls-line, verilog-read-inst-pins, 
verilog-read-arg-pins,
        verilog-read-auto-template, verilog-read-signals, verilog-getopt-file,
        verilog-add-list-unique, verilog-symbol-detick, verilog-modi-filename,
        verilog-auto-star, verilog-auto-inst, verilog-auto-wire, 
verilog-enum-ascii,
        verilog-sk-begin, verilog-sk-fork, verilog-sk-datadef,
        verilog-colorize-include-files-buffer): Fix typos in docstrings.
        (verilog-set-auto-endcomments, verilog-calculate-indent, 
verilog-inject-auto,
        verilog-auto-arg, verilog-auto-inout-module): Reflow docstrings.
        (verilog-tab-always-indent, verilog-highlight-p1800-keywords,
        verilog-auto-star-save, verilog-auto-inst-vector, verilog-mode-hook,
        electric-verilog-forward-sexp, verilog-in-case-region-p,
        verilog-in-struct-region-p, verilog-in-generate-region-p, 
verilog-leap-to-head,
        verilog-current-indent-level, verilog-case-indent-level, 
verilog-cpp-keywords,
        verilog-defun-keywords, verilog-block-keywords, verilog-tf-keywords,
        verilog-case-keywords, verilog-separator-keywords, verilog-completion,
        verilog-signals-not-in, verilog-symbol-detick-text,
        verilog-modi-cache-preserve-tick, verilog-modi-cache-preserve-buffer,
        verilog-forward-close-paren, verilog-backward-open-paren,
        verilog-backward-open-bracket): Doc fixes.

CVSWeb URLs:
http://cvs.savannah.gnu.org/viewcvs/emacs/lisp/progmodes/verilog-mode.el?cvsroot=emacs&only_with_tag=EMACS_22_BASE&r1=1.1.2.16&r2=1.1.2.17




reply via email to

[Prev in Thread] Current Thread [Next in Thread]