help-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Custom indentation in Emacs Vhdl-mode


From: haws
Subject: Custom indentation in Emacs Vhdl-mode
Date: 11 Jan 2007 07:01:55 -0800
User-agent: G2/1.0

Hello!
I hope some emacs guru can give me a tip on this :)
My organization requires that the following indentation is used in this
particular section of the code:

library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    use ieee.std_logic_arith.all;

Can I customize vhdl-mode to beautify like that to me?
The problem is that those 4 lines all return the same value in
"Syntactic Info" ( = statement), so I have no clue if what I want is
possible.

Any ideas?

Thanks,
Hugo



reply via email to

[Prev in Thread] Current Thread [Next in Thread]