discuss-gnu-electric
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: Can Electric read in VHDL source files


From: amal banerjee
Subject: Re: Can Electric read in VHDL source files
Date: Sat, 14 Nov 2009 12:20:01 +0530

As far as I remember, GHDL is in Ada95, so a library for interfacing
between Java and GHDL has to be created.
I think the best tool in these situations would be to use the Alliance
tool
http://www-asim.lip6.fr/recherche/alliance/
which reads in a standard VHDL file, generates optimized netlists,
does parasitic extraction and place/route using very simple cmmand
line options.



On 11/14/09, Svenn Are Bjerkem <address@hidden> wrote:
Is it a big job to add GHDL as VHDL simulator?

--
Svenn


On 2009-11-13, Steven Rubin <address@hidden> wrote:
> At 08:05 PM 11/12/2009, you wrote:
>>I am new to the Electric tool. I am curious to know if Electric can read in
>> a
>>standard VHDL circuit file, and simulate it, or generate the netlist. Could
>>someone please provide some pointers in this regard ? thanks in advance
>>for your help.
>
> Electric can read VHDL, compile it to a netlist, and simulate that
> netlist.  The problems with this are (1) it can only handle
> structural VHDL, not behavioral VHDL and (2) its simulator, ALS, is
> old and not as good as some modern ones.
>
>     -Steven Rubin
>
>
>
> _______________________________________________
> Discuss-gnu-electric mailing list
> address@hidden
> http://lists.gnu.org/mailman/listinfo/discuss-gnu-electric
>



--

Svenn



_______________________________________________
Discuss-gnu-electric mailing list
address@hidden
http://lists.gnu.org/mailman/listinfo/discuss-gnu-electric


reply via email to

[Prev in Thread] Current Thread [Next in Thread]