discuss-gnu-electric
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Can Electric read in VHDL source files


From: amal banerjee
Subject: Can Electric read in VHDL source files
Date: Fri, 13 Nov 2009 11:48:48 +0530

I am new to the Electric tool. I am curious to know if Electric can read in a
standard VHDL circuit file, and simulate it, or generate the netlist. Could
someone please provide some pointers in this regard ? thanks in advance
for your help.




reply via email to

[Prev in Thread] Current Thread [Next in Thread]